Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

quartus 15.1 fit Internal Error (always at 35%),bug?

Altera_Forum
Honored Contributor II
1,739 Views

Problem Details 

Error: 

Internal Error: Sub-system: VPR20KMAIN, File: /quartus/fitter/vpr20k/altera_arch_common/altera_arch_place_anneal.c, Line: 4288 

Internal Error 

Stack Trace: 

0x4f4f70: VPR_QI_FACADE::unload + 0x150 (fitter_vpr20kmain) 

0xe23d7: BSYN_LABMGR_INTERFACE: : operator= + 0xd6147 (fitter_vpr20kmain) 

0xe2420: BSYN_LABMGR_INTERFACE: : operator= + 0xd6190 (fitter_vpr20kmain) 

0x2e0545: BSYN_LABMGR_INTERFACE: : operator= + 0x2d42b5 (fitter_vpr20kmain) 

0x2d9050: BSYN_LABMGR_INTERFACE: : operator= + 0x2ccdc0 (fitter_vpr20kmain) 

0x2d0600: BSYN_LABMGR_INTERFACE: : operator= + 0x2c4370 (fitter_vpr20kmain) 

0x2d0140: BSYN_LABMGR_INTERFACE: : operator= + 0x2c3eb0 (fitter_vpr20kmain) 

0x242e42: BSYN_LABMGR_INTERFACE: : operator= + 0x236bb2 (fitter_vpr20kmain) 

0x240563: BSYN_LABMGR_INTERFACE: : operator= + 0x2342d3 (fitter_vpr20kmain) 

0x24025d: BSYN_LABMGR_INTERFACE: : operator= + 0x233fcd (fitter_vpr20kmain) 

0x4f478c: VPR_QI_FACADE::vpr_qi_main + 0x3c (fitter_vpr20kmain) 

0x32d80: fitapi_run_vpr + 0x70 (fitter_fitapi) 

0x1b33f: fsv_execute + 0x327f (fitter_fsv) 

0x1adfd: fsv_execute + 0x2d3d (fitter_fsv) 

0x1a266: fsv_execute + 0x21a6 (fitter_fsv) 

0x180e2: fsv_execute + 0x22 (fitter_fsv) 

0xed0f: fmain_start + 0x89f (FITTER_FMAIN) 

 

 

 

 

 

 

0x14410: TclInvokeStringCommand + 0xf0 (tcl86) 

0x161e2: TclNRRunCallbacks + 0x62 (tcl86) 

0x17a65: TclEvalEx + 0xa65 (tcl86) 

0xa6f8b: Tcl_FSEvalFileEx + 0x22b (tcl86) 

0xa5646: Tcl_EvalFile + 0x36 (tcl86) 

0x12606: qexe_evaluate_tcl_script + 0x376 (comp_qexe) 

0x11864: qexe_apply_ini_vars + 0x2724 (comp_qexe) 

0x16725: qexe_run_tcl_option + 0x585 (comp_qexe) 

0x32068: qcu_run_tcl_option + 0xd78 (comp_qcu) 

0x1607a: qexe_process_cmdline_arguments + 0x242a (comp_qexe) 

0x16de1: qexe_standard_main + 0xc1 (comp_qexe) 

 

 

0x12938: msg_initialize_out_of_memory_handler: + 0x348 (CCL_MSG) 

0x13f5e: msg_set_stack_size + 0x7e (CCL_MSG) 

0x3eb6: MEM_MANAGER::initialize + 0x86 (ccl_mem) 

0x12021: msg_exe_main + 0xa1 (CCL_MSG) 

 

 

0x1652c: BaseThreadInitThunk + 0xc (kernel32) 

0x2c520: RtlUserThreadStart + 0x20 (ntdll) 

 

 

End-trace 

 

 

 

 

Executable: quartus 

Comment: 

None 

 

 

System Information 

Platform: windows64 

OS name: Windows 7 

OS version: 6.1 

 

 

Quartus Prime Information 

Address bits: 64 

Version: 15.1.1 

Build: 189 

Edition: Standard Edition
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
808 Views

Do you have enough memory?

0 Kudos
Altera_Forum
Honored Contributor II
808 Views

You need to be reporting this to Altera. The people on this forum can't do anything about fixing bugs in Altera software.

0 Kudos
Altera_Forum
Honored Contributor II
808 Views

Hi, agree with Galfonz, just a final checking if this also happen in 15.0, with the previous version, then it is better to get direct assistance already.

0 Kudos
Altera_Forum
Honored Contributor II
808 Views

Hi, 

 

I know this is an old post, but I had the same error and found the solution. This error migth be a Quartus bug, but it ususally happens when it tries to fit something that it cannot understand so, instead of generating a comprehensible error message, it just breaks down. The way to find the block that causes this error is to create a simpler design and test each block one by one, until the compilation breaks again. Then you just have to debug the block that causes the error and compile your full design. 

 

Cheers!
0 Kudos
Reply