Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16592 Discussions

quartus compile error Case choice must be a locally static expression

Altera_Forum
Honored Contributor II
1,593 Views

Hi, 

 

i compile a code in quartus that has case-when statements nested in a for loop and each value checked depends on the loop variable. 

Quartus complains on this with the error 'Case choice must be a locally static expression'.  

 

Is there any workaround for this problem? it would be manually hard to unroll the loops.  

I tried to change vhdl version to 2008 but did not help. I also saw some discussion on setting a parameter --relax <someting>... 

 

For the record, this case-when statements did not produce an error when compiling on Sinplify 

 

Thanks
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
594 Views

Posting the code would help to understand what's wrong.

0 Kudos
Reply