Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16592 Discussions

"Warning: - signal not found in VCD" Error message with Quartus Prime Lite Edition v21.1 and Questa

asda12345
Beginner
3,247 Views

Good day,

I have recently update to the Quartus Prime Lite Edition v21.1 from v20.1.1 in order to test it and prior to deploying it to the labs for use in my university course next semester. While testing it, I ran into several issues that are likely due to the newest version using "Questa (starter edition)" instead of "modelsim". I have acquired and configured the license file for Questa correctly (I think), but I encounter the error of "Warning: - signal not found in VCD" when I run a functional simulation on the Simulation Waveform Editor (University Program VWF) and the result does not show anything on the output. I never encountered this problem when using modelsim with the older version and I am not sure how to address this problem. I made sure that the circuit has been compiled and synthesized without errors, and that the correct files are stored in the designated locations, as well as checked the settings for the EDA Tool, but I cannot figure out this problem.

Does anyone have any suggestions on how to address this issue?

0 Kudos
5 Replies
zener
New Contributor I
3,210 Views

Did the Questa Intel Starter FPGA Edition introduce limitations which made it more or less useless compared to the ASE version?

I ran an old script (with vsim/vlog commands) using the Questa SFE and any generated VCD appears to be empty:

$date
Mon Dec 13 15:36:06 2021
$end
$version
Questa Intel Starter FPGA Edition Version 2021.2
$end
$timescale
1ps
$end

$scope module testbench $end
$upscope $end

$scope begin std $end
$upscope $end
$enddefinitions $end
#0
$dumpvars
$end

A do file which will try to add all signals results in:

# Error in macro ./modelsim_ase.do line 1
# ** UI-Msg: (vish-4014) No objects found matching '/*'.

And removing this results in:

vsim -view vsim.wlf
WLF file vsim.wlf contains no context information.


Is this the expected behavior using Questa SFE? Or did the cli to the vlog/vsim command change?

0 Kudos
Paveetirra_Srie
Employee
3,160 Views

Hi Asda12345,


Please give me a little time to investigate this issue, I will contact you back as soon as I find a possible solution.


Regards,

Pavee


0 Kudos
zener
New Contributor I
3,148 Views

This was solved by making sure the -voptargs=+acc option was passed to vsim.

Paveetirra_Srie
Employee
3,005 Views

Hi Asda12345,


Just wanted to check with you, by suggestion that been provided, is your concern has been addressed?

If yes , kindly do let me know.

Thank You



0 Kudos
Paveetirra_Srie
Employee
2,985 Views

We do not receive any response from you to the previous question that I have provided. This thread will be transitioned to community support. 

If you have a new question, feel free to open a new thread to get the support from Intel experts. 

Otherwise, the community users will continue to help you on this thread. 

Thank you.


0 Kudos
Reply