Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

regarding Quartus Prime

Umasree
Beginner
671 Views

while synthesising  verilog rtl its showing unavailable memory to synthesis and its taking nearly 10min for synthesising process.please check on that issue.

0 Kudos
3 Replies
sstrell
Honored Contributor III
651 Views

How much RAM do you have?  I'd also suggest removing the spaces from your project path.  I doubt that's the issue, though.

 

And it looks like synthesis is working but not the Fitter.  Are you able to fully compile any projects at all?  Could be a bad Quartus install.

0 Kudos
Umasree
Beginner
635 Views
Am not able to run any of the projects.problem is with compile design and cleared chache.still it is not working
0 Kudos
SyafieqS
Moderator
610 Views

Hi Umasree,


Make sure your RAM meet the requirement for you project in term of device you used. I believe this could be due to this. Not able to run any project at all is very weird, supposed small design can pass the flow even you have a small RAM. Suggestion is to reinstall and check all requirement is met. Another way, try to clean .cdb file and database and recompile. 


0 Kudos
Reply