Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

retrieve all generated clocks related to a giving reference clock

Altera_Forum
Honored Contributor II
1,352 Views

Hi all 

does anyone know how to retrieve the generated clocks derived from a giving clock ? In vivado, they have something 

like get_clock -include_generated_clock refclk. 

 

thanks 

 

0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
292 Views
0 Kudos
Altera_Forum
Honored Contributor II
292 Views

Hi Alex 

 

actually derive_pll_clocks automatically creates the generated clocks. 

 

what I need is to retrieve those derived clocks to use it in the sdc file like the following: 

 

set_max_delay 10 [ get_clocks <generated clocks from a giving refclk1> to [get_clocks <generated clocks from another giving refclk2>
0 Kudos
Reply