Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

top level design entity

Altera_Forum
Honored Contributor II
3,254 Views

hey m working on mp3 decoding in quartus... 

 

aftercompiling all my files its giving an error  

 

TOP LEVEL DESIGN ENTITY (with my project's name) NOT DEFINED... 

 

CAN SOMEBODY SOLVE MY PROBLEM PLZ...? M QUITE NEW TO THE ENVIRONMENT... KINDLY HELP AND THANKS IN ADVANCE...  

 

MY EMAIL ID IS hegde.hk@gmail.com..
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
1,129 Views

Most likely you named the project something different than your top-level entity/module/filename. (Type depends on source, where entity=VHDL, module=Verilog, and filename.bdf=schematic). To tell Quartus that the top-level entity/module/etc. is something else, go to Assignments -> Settings -> General and type the name there.

0 Kudos
Reply