Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

vhdl 2008: read output / unary operator

StefanB
Beginner
1,143 Views

Hi

Attached you will find a VHDL-file, which implements an FSM and uses the function "next_crc16_d8(..)" to calculate the CRC.

The file compiles fine for Questa, but fails in QuartusPrime 18.1 on line 141, because the signal "tx_data", which is an output, is used as an input to the function (Error (10600): VHDL error at tx_frame_rtl.vhd(141): can't read value of interface object "tx_data" of mode OUT)

Additionally, when activating line 89 for the signal "tx_parity" to calculate the parity using the unary operator XOR for a vector, this also fails!

Having the year 2020, it's still astonishing that Intel can't handle basic VHDL-2008 standards. It's a pity or are there any workarounds / solutions / updates?

By the way,

set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008

is set.

Let me know, if something is missing to reproduce the problem!

Regards

Stefan

0 Kudos
9 Replies
JC_FPGA
Novice
1,129 Views

I've also encountered this lack of support for VHDL-2008.  I often get my code simulating properly in Questa only to find that a VHDL code construct I used isn't supported by Quartus.

OSVVM and UVVM are getting more traction.  Intel should really focus on supporting more the features of VHDL-2008.

Following this thread in case you get a solution...

0 Kudos
Kenny_Tan
Moderator
1,111 Views

I was able to duplicate this in the Quartus Std. Will get back to you all soon.




0 Kudos
Kenny_Tan
Moderator
1,092 Views

Seems like the code was synthesizable in Quartus Pro, can you check your email for the workaround?


0 Kudos
Kenny_Tan
Moderator
1,089 Views

@JC_FPGA let me know if you interested as well, if yes, you will have to provide us your email address for further communication.


0 Kudos
JC_FPGA
Novice
1,078 Views
0 Kudos
Kenny_Tan
Moderator
1,058 Views

@JC_FPGA seems like I do not receive your direct message.


Can you open a new thread on this? Post the new thread here and I will follow up on this.


0 Kudos
StefanB
Beginner
1,054 Views

Hi Kenny

What do you mean by "Can you open a new thread on this"?

Regards

Stefan

0 Kudos
Kenny_Tan
Moderator
1,039 Views

Hi Stefan,


That note is for JC, as I need his company information which should not be public.


Anywhere it is handled.


Sorry for the confusion,

Best regards,

Kenny


0 Kudos
Kenny_Tan
Moderator
1,020 Views

Since this thread had been answered, we shall close this thread. If you still need further assistance, you are welcome to post a response within 15days or open a new thread, some one will be right with you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions. 


0 Kudos
Reply