Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

wire signed [10:-11]root3x_full; How do I implement this in VHDL?

Altera_Forum
Honored Contributor II
1,155 Views

wire signed [10:-11]root3x_full; How do I implement this in VHDL?

0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
279 Views

You cannot do it directly, you'll have to offset it: 

 

signal root3x_full : signed(10+11 downto 0); 

 

Unless you used the fixed point libraries: 

 

signal root3x_full : sfixed(10 downto -11); 

 

You might be better off learning VHDL than just getting a forum to do it for you.
0 Kudos
Reply