Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12600 Discussions

Access onchip memory from NIOS II

Altera_Forum
Honored Contributor II
1,107 Views

Hi everyone, 

 

I'm working on a project which includes a FPGA design with a NIOS II softcore. The NIOS II needs to interoperate with the FPGA logic through IO ports but I also want to exchange data with the FPGA logic. The easiest way would be if I had a possibility to directly access the onchip memory of the FPGA. Not only the part of the memory which is used by the NIOS II processor but the complete onchip memory. Is this possible and if yes what is the best way to do it?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
367 Views

You can use add an on-chip memory into QSys and Avalon bus to talk to it, just be sure to handle within your FPGA logic the Avalon bus following the rules.

0 Kudos
Reply