Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12600 Discussions

Depicting "hello world' on Cyclone III's seven segment display with NiosII processor

Altera_Forum
Honored Contributor II
1,099 Views

Hello people, 

i am new to Fpga design and i just want to write a simple 'hello world' on the seven segment display of Cyclone III using a NiosII processor. 

I am using an exaple design of Nios II as it is on altera's site for download. 

'hello world' runs in the Nios command shell but not at the seven segment display.Do i need some drivers to do it? 

Anyone who can help me? 

Thanx a lot in advance!
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
320 Views

anyone????

0 Kudos
Altera_Forum
Honored Contributor II
320 Views

Did you figure it out? I have a tutorial about this but for Cyclone IV. Hope it helps. 

http://techiesurabhi.blogspot.ca/2013/12/3-guide-to-qsys-design-with-nios.html
0 Kudos
Reply