Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12589 Discussions

Error using nios ii - Downloading ELF Process failed

ABinO1
Novice
7,972 Views

Hello Folks,

I am using quartus prime 15.1 and Qsys to create nios ii soft processor to run on altera max10 FPGA.

I created "hello world" software using nios ii SBT for eclipse to run on nios ii.

 

I downloaded the processor from quartus on the FPGA board correctly, then when I tried to download the "hello world" to the nios ii on the board , I get the following error:

 

"Launching <project name> nios ii hardware configuration has encountered a problem - Downloading ELF Process failed"

 

I am encountering this issue for all my projects and designs , they wont run on the board..

 

0 Kudos
1 Solution
ABinO1
Novice
7,963 Views

I finally figured out how to solve this. 

 

It is mainly due to any mistake during the nios ii design, especially connections related to the memory where the ELF file will reside.

 

In my case, the ELF was to be uploaded in onchip memory, which was connected to the reset from the nios ii core , but that reset from the core was not connected to any input signal. Once I connect it ti (1) or VCC the debugging was completed sucesfuly.

View solution in original post

0 Kudos
3 Replies
ABinO1
Novice
7,964 Views

I finally figured out how to solve this. 

 

It is mainly due to any mistake during the nios ii design, especially connections related to the memory where the ELF file will reside.

 

In my case, the ELF was to be uploaded in onchip memory, which was connected to the reset from the nios ii core , but that reset from the core was not connected to any input signal. Once I connect it ti (1) or VCC the debugging was completed sucesfuly.

0 Kudos
Harsh1
Beginner
5,610 Views

Hi,

I am also facing the same issue but couldn't understand what you are saying can you please elaborate your solution.

 

Thanks

0 Kudos
Isaac_V_Intel
Employee
7,936 Views

Hi ABin01,


Thanks for sharing your solution. I'm going to close this case if you do not have more info or question for us.


Best regards.

Isaac Vazquez.


Reply