Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12603 Discussions

FIR by megafunction or write fir by myself?

Altera_Forum
Honored Contributor II
876 Views

Hi, 

I'm new in VHDL, I'm intend to design a filtr typ FIR. I have used Megafunction in Quartus.  

But it supports only 37 taps for each fir. I need about twice taps of that.  

I'm thinking about connect 2 filtrs serial, or design one filtr by myself using Matlab to canculate coeficients and write it in vhdl. 

By your experiments, can you give me some advice, should I write it myself or using 2 megafunction filters. Or any another suggestion. 

Which of those is most optimal for speed, resource...:confused: :confused: :confused: 

 

Thank you!!!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
173 Views

both FIR Compilers support more than 37 coefficients

0 Kudos
Reply