Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12603 Discussions

HELP PID controller ...Urgent !!!!!

Altera_Forum
Honored Contributor II
1,183 Views

hello everybody  

actually i'm working on my final year project that deals with process control which requires the implementation of a PID controller  

and i'm wondering if this can be done using VHDL ? if yes please does anyone have a sample code ? Or if there exist an IP Core for PID controller that can be instantiated using SOPC ??? 

 

 

PS: i use nios ii softprocessor and cyclone II fpga of the DE2 board  

i really know a little concerning PID controllers  

 

please i really need any help .....it's urgent 

 

thank u very much in advance
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
353 Views

If U HAVE SIMULATED DIGITAL PID CONTROLLER USING VHDL THEN PLEASE EXPLAIN ME SIMULATION RESULT.

0 Kudos
Altera_Forum
Honored Contributor II
353 Views

I have posted vhdl code in my forum...

0 Kudos
Altera_Forum
Honored Contributor II
353 Views

Software language will be much easier to implement PID controller then using HDL (vhdl or verilog).So you should go for " C " language to program PID controller. 

You will find so many readymade codes but important thing is "tuning" and understanding of each parameter effect (i.e P ,I,D) like if "I" parameter is more,then more oscillations will be occurred.So reduce it and increase "P" like that. 

I have just recenly done to control my Dc motor.
0 Kudos
Reply