Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12589 Discussions

How to add external conduit simulation model when doing NIOS II simulation

XQSHEN
Novice
367 Views

When run the NIOS II simulation in modelsim-intel, how to add external conduit simulation model when doing NIOS II simulation?

The simulation setup and method I used is based on example AN351.

For example, NIOS II -> Avalon_mm  SPI to external module.

where can I define external SPI simulation module? I don't see anywhere I can modify test bench files like the simulation without NIOS design.

0 Kudos
0 Replies
Reply