Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12589 Discussions

How to get started with FPGA: Development tools?

Altera_Forum
Honored Contributor II
1,280 Views

Hi all, 

 

This is for Windows 7 Pro 64-bit. I want to get started with FPGA design so I thought I'll try the new MAX10 FPGA but every time I start reading FPGA stuff at Altera, I'm overwhelmed with the information available. A long time ago I bought a BeMicro because they said "5 easy steps to make a design...". Well... they wern't five (more like 50) and it sure as h*ll weren't easy. I felt I really didn't learn much so I gave up ... and sold it. I'm ready to try again and I have no expectation of anything being easy :-). 

 

I d/l Quartus II web edition v14 (Q14), plus the upgrade for MAX10. I know I need something for the NIOS II softcore, NDS? But the intallation of Q14 shows a NDS v14 installed. Is that the NDS I need...so I already have it? What about the software to write code for NIOS. Is Eclipse still in the picture or is Q14 all I need?  

 

Thanks
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
417 Views

What do you want to do with FPGA? Get the golden top project for your board from Altera, modify sources according your needs, upload bitstream to FPGA, that's all! Only 3 steps.

0 Kudos
Altera_Forum
Honored Contributor II
417 Views

 

--- Quote Start ---  

What do you want to do with FPGA? Get the golden top project for your board from Altera, modify sources according your needs, upload bitstream to FPGA, that's all! Only 3 steps. 

--- Quote End ---  

 

 

Well ... I haven't bought the board yet. Altera page says it will be available to order on 12/2014. But the board is not the question. The development tools are.  

 

Thank you for your time.
0 Kudos
Altera_Forum
Honored Contributor II
417 Views

The steps depend on your needs. Do your need some IPs, Avalon bus and stuff? Or it's enough for you to set clk input, couple LED outputs, write 1(one) VHDL file, synthesize it and upload bitstream over JTAG to FPGA.

0 Kudos
Altera_Forum
Honored Contributor II
417 Views

Quartus II Version 14.0 has detailed tutorials in the Help. If you can't follow those you might as well give up!

0 Kudos
Altera_Forum
Honored Contributor II
417 Views

Hi, 

I worked on a course at Altera called "How to Become an FPGA Designer in 4 Hours". It doesn't cover NIOS/Eclipse but it does go through setting up a project, adding constraints, compiling and setting up a signal tap debug environment. Once you are comfortable at that level, proceed to one of our NIOS or Qsys classes. See: http://www.altera.com/education/training/courses/odswbecome . Cheers Larry
0 Kudos
Altera_Forum
Honored Contributor II
417 Views

Hi, 

 

Thanks for the link. I registered and d/l (offline) the course. I just paused it because the sound seems a bit messed up. It seems to cut off the last syllables of some sentences. I've watch about an hour of it and I can tell that it is going to be quite annoying after 4 hours. I also tried the online version in case the d/l was bad. The online version does the same thing.  

 

I like the fact that it is for v14.0 of Quartus. It is looking like a very nice training video. I hope you have a similar one for the nios processor and the software tools (in Quartus 14.0 or newer).  

 

Thanks
0 Kudos
Reply