Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12600 Discussions

How to interface Nios II with W5300 using the Tri-State Conduit?

AP3
Beginner
1,332 Views

I want to perform a connection between Nios and Ethernet Module Wiznet W5300. For W5300 i am using the Tri-State Conduit, but i dont how to interface. My task is that i need to run 32 bit bit counter in NIOS using Wiznet module.

Please can anyone guide me Step-by step process. It would be helpful. I have followed the below link but i am not clear about the design

https://forums.intel.com/s/question/0D50P00003yySCTSA2/nios-interfacing-with-w5300

0 Kudos
1 Reply
Ahmed_H_Intel1
Employee
622 Views

Please check this page of a similar design

https://qiita.com/kazunori279/items/287f956009fe022f1e33

 

0 Kudos
Reply