Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12590 Discussions

How to pipeline a NIOS II custom instruction?

otahir
Beginner
490 Views

I have a fixed multi-cycle custom instruction written in Verilog that I've added to my system in Platform Designer. The instruction can be called in C++ and produces the correct output. The instruction is fully pipelined so that the latency is more than one cycle but the throughput is one instruction per cycle. How do I make use of this when calling the instruction as a function in a tight loop in C++? Is there a way to indicate to the system that it has a 1-cycle throughput?

0 Kudos
0 Replies
Reply