Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12603 Discussions

Incomplete design examples: SOPC for custom made component + IDE C language

Altera_Forum
Honored Contributor II
982 Views

 

 

 

 

 

 

Hi,  

 

In this file (Making Qsys Components, August 2012, attached), it is shown how to create new or custom made components to be included in the SOPC or Qsys as well as Avalon slave interface etc, however it did not show how to compile the system in Altera Monitor program or Nios IDE or SBT using C language. 

 

In this file (Introduction to the Altera SOPC Builder using VHDL Designs, January 2011, attached), it is shown how to use the standard components to be included in the SOPC or Qsys, and it also shows how to compile the system in Altera Monitor program using C language, this is great, however it did not show how to create new or custom made components to be included in the SOPC or Qsys. 

 

What I am asking here is that could you send me a file or a link that gives the example of the combination of the above two, meaning, "I want the file to show me a simple but COMPLETE example using VHDL on how to create new or custom made components to be included in the SOPC or Qsys, AND also show how to compile the system in Altera Monitor program or Nios IDE or SBT using C language". I want to learn how to link those VHDL codes to the one in C. I have been searching for few days but could not get any, please help. 

 

Hope to hear you, thank you. 

 

 

0 Kudos
0 Replies
Reply