Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12603 Discussions

Interface to user Logic for Nios

Altera_Forum
Honored Contributor II
831 Views

Hello, 

 

When adding user logic in Nios ( not NiosII ), it found that the set address  

width and the address width behind Generate were different. 

The address width behind Generate is different in SOPC Builder ver4.1 and ver4.2. 

It set address width to 5 bits in SOPC Builder. 

It becomes 7 bits when Generateing in ver4.1. 

It becomes 6 bits when Generateing in ver4.2. 

 

The address width which was set in SOPC Builder if being how will it become?
0 Kudos
0 Replies
Reply