Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

Launch Problem

Altera_Forum
Honored Contributor II
1,444 Views

I need to run Quartus II v9 for a class I'm taking so I downloaded it, installed csh, ran the install script. But, when I tried to run it, I got this 

 

$ pwd /opt/altera9.1sp2/quartus/bin $ ls altgx_diffmifgen jtagd qcmd quartus_cmd quartus_g2b quartus_pow quartus_smew tb2_install altgx_mifgen mif2hex qmegawiz quartus_cpf quartus_jbcc quartus_rpp quartus_sta tclsh clearbox mwcleanup quartus quartus_drc quartus_jli quartus_sh quartus_staw dmf_ver mwcontrol quartus_asm quartus_eda quartus_map quartus_si quartus_stp jtagconfig pll_cmd quartus_cdb quartus_fit quartus_pgm quartus_sim quartus_tan $ ./quartus quartus: /opt/altera9.1sp2/quartus/linux/libuuid.so.1: no version information available (required by /usr/lib/i386-linux-gnu/libSM.so.6) quartus: symbol lookup error: /usr/lib/i386-linux-gnu/libXrender.so.1: undefined symbol: _XGetRequest 

 

I am using Xubunutu 16.04 (Ubuntu 16.04 with XFCE desktop) 

 

I don't understand that error nor have any idea how to fix, any help would be great.  

Thanks in advance. 

 

Rodrigo Martín del Campo Alcocer
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
386 Views

The official supported Linux versions for Quartus II v9 are SUSE 9 or 10, Redhat 4 or 5 and CentOS 4 or 5. The problem with binary installs under Linux is that if there is a too strong difference between the OS libraries and what the application expects you will get that sort of errors. The error message you get could come from a conflict between some X11 libraries from ubuntu and some that Altera brought with Quartus but I'm not sure. Also note that Quartus 9 for Linux is 32-bit only so if you have a 64-bit OS installed you need the 32-bit X11 compatibility libraries correctly installed. 

 

I remember back in the day we installed Quartus II 9 on Ubuntu 10 and it was still a pain, especially with the JTAG drivers IIRC. You'll save yourself a lot of trouble with either installing a supported version of Linux (could be in a virtual machine) or try a more modern version of Quartus (I'm not sure Ubuntu is supported even in the newer versions of Quartus, but some people probably managed to do it anyway).
0 Kudos
Reply