Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12600 Discussions

Nios II simulation with Questa

dsun01
New Contributor III
1,146 Views

Dear Support, 

 

After few weeks struggle, finally I got the AN351 working on my Quartus Pro 21.3.  I am so happy and feel surprised.  there is still something not working as expected. most of the signals won't show up in the Objects window and Wave window.  as in the picture. 

the signal in at least one model can show in the Object window, and Wave window. you also see signal waveform. 

add wave -position insertpoint sim:/niosii_system_tb/i1/ram/ram/the_altsyncram/*

the signals in most of the module would not show up.  there must be some setup of the simulator or compiler wrong. it is so close to the goal. hope I can get support from this forum. To help analysis the problem, I attached the transcript.zip with the post. 


add wave -position insertpoint sim:/niosii_system_tb/i1/*
# ** UI-Msg: (vish-4014) No objects found matching '/niosii_system_tb/i1/*'.
add wave -position insertpoint sim:/niosii_system_tb/i1/jtag_uart/*
# ** UI-Msg: (vish-4014) No objects found matching '/niosii_system_tb/i1/jtag_uart/*'.
add wave -position insertpoint sim:/niosii_system_tb/i1/nios2/nios2/cpu/niosii_system_nios2_altera_nios2_gen2_unit_1910_qtzlsya_ic_data/*
# ** UI-Msg: (vish-4014) No objects found matching '/niosii_system_tb/i1/nios2/nios2/cpu/niosii_system_nios2_altera_nios2_gen2_unit_1910_qtzlsya_ic_data/*'.
add wave -position insertpoint sim:/niosii_system_tb/i1/nios2/nios2/cpu/niosii_system_nios2_altera_nios2_gen2_unit_1910_qtzlsya_ic_data/the_altsyncram/*

 

 

run1.png

0 Kudos
1 Solution
dsun01
New Contributor III
1,137 Views

I figured out the problem, maybe it is a question too easy to be answered. the commands Nios II Eclipse send out are 

Do load_sim.tcl.

LD

Change the ld to ld_debug will make all signals show up.

 

finally, I think I know how this Nios II Eclipse and Questa works. I would say, AN351 as a tutorial was a failure. I will give it barely grade C if it is a high school project.  maybe there is good one which I don't know. maybe I should have read the "Quartus Prime Pro Edition Handbook Volume 1 ,2, 3" and "Nios® II Software Developer Handbook" line by line. 

Intel may have the best FPGA and design tools. the barrier to entry is so discourage, that is good news to who want to earn more money. 

 

 

 

 

View solution in original post

0 Kudos
8 Replies
dsun01
New Contributor III
1,138 Views

I figured out the problem, maybe it is a question too easy to be answered. the commands Nios II Eclipse send out are 

Do load_sim.tcl.

LD

Change the ld to ld_debug will make all signals show up.

 

finally, I think I know how this Nios II Eclipse and Questa works. I would say, AN351 as a tutorial was a failure. I will give it barely grade C if it is a high school project.  maybe there is good one which I don't know. maybe I should have read the "Quartus Prime Pro Edition Handbook Volume 1 ,2, 3" and "Nios® II Software Developer Handbook" line by line. 

Intel may have the best FPGA and design tools. the barrier to entry is so discourage, that is good news to who want to earn more money. 

 

 

 

 

0 Kudos
EBERLAZARE_I_Intel
1,126 Views

Hi,


Usually, I would always refer to the Embedded design handbook for examples and guides:

https://cdrdv2.intel.com/v1/dl/getContent/666980?fileName=edh_ed_handbook-683689-666980.pdf




0 Kudos
dsun01
New Contributor III
1,119 Views

I  will read it carefully, it will take some time for a 500 page document. for a board designer, when I learn Orcad/Allegro design tools from Cadence, sometimes even very simple question, will be answered by the forum. it will be much faster and easier than to read a thousand page user manual. if there is no help, the only way you solver your problem is rely on yourself. 

 

Thank you for the document. 

David

0 Kudos
EBERLAZARE_I_Intel
1,102 Views

Hi David,


First and foremost, we would like to apologies for the support experience you are having so far in the forum.


We have good news regarding the this issue, we have Nios V and it will use Questa:

https://www.intel.com/content/www/us/en/docs/programmable/683590/21-3-21-1-0/about-this-document.html


Also, for your ease, you can refer below video for the step by step:

https://www.youtube.com/watch?v=3Fwgsfbbcm4&ab_channel=IntelFPGA


If you have any issues/questions regarding Nios V, let me know. Or you may post your questions separately.


0 Kudos
dsun01
New Contributor III
1,097 Views

Hi Eberlazare

Thank you very much for the reply. I guess Nios V will be much better than Nios II. I am working on an old project which was created at 2016. Quartus 16 revision, I hope I can transfer the project to Quartus 21.3 pro. Then to make improvement, I need a working platform first.  is there a tutorial to migrate from Nios II to Mios V. as a beginner, to migrate a working system is easier than to create a one from scratch.

 

Appreciate you help.

David 

0 Kudos
EBERLAZARE_I_Intel
1,038 Views

HI,


Yes, you can upgrade from an older version.


Unfortunately, we have yet to have a documentation on the migration from Nios II to Nios V, you may post again a question in the future once you are planning to.


0 Kudos
Kenny_Tan
Moderator
1,030 Views

Not sure if you have further question?


0 Kudos
dsun01
New Contributor III
1,026 Views

Hi Kenny

 

thank you for asking, now the Nios 2 simulation is working. everything is OK. 

 

David

0 Kudos
Reply