Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12603 Discussions

Problems accessing memory with Avalon MM Master Templates

Altera_Forum
Honored Contributor II
968 Views

Hello everyone, 

 

I'm a University student and i have been working on NIOS and Qsys for a few months now, my objective was to create a custom instruction that was able to read at least 3 or more variables from memory. I have used the Avalon MM Master Templates from Altera website but i have some issues... 

 

I need to read 3x32bits of data(3 variables) and for that i'm currently using 1 burst of 128 bits, it's the only setting that seems to work, i have tried 3 burst of 32 bits but it without success. The problem now is that for some reason the 96 bits data that i need either come in the beginning of the word (X,Y,Z,?) or in the end (?,X,Y,Z). 

 

Does anyone have some idea of what could be wrong with the Avalon interface or give me some clues on how to enable Burst Reads?  

 

Thank You!
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
291 Views

As temporary workaround, you may continue to use the 128 bits and use only the valid 96 bits to avoid stopping your development.

0 Kudos
Altera_Forum
Honored Contributor II
291 Views

If someone could show me an example of SDRAM access(DMA) using bursts i would be grateful!

0 Kudos
Reply