Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12590 Discussions

Typical Avalon ST source and SGDMA

Altera_Forum
Honored Contributor II
1,593 Views

Dear experts, 

I'm trying to connect the SGDMA with the Avalon ST SRC. 

Avalon ST SRC was generated from the SOPC template (I have no idea about what can be taken from the library), and the SGDMA was taken from the library.  

An error occurs: 

error: avalonsrc_0.out0/sgdma_0.in: the sink has a startofpacket signal of 1 bits, but the source does not. 

error: avalonsrc_0.out0/sgdma_0.in: the sink has a endofpacket signal of 1 bits, but the source does not. 

error: avalonsrc_0.out0/sgdma_0.in: the sink has a empty signal of 2 bits, but the source does not. 

 

Then, how can I link the Avalon ST SRC to the physical lavel?  

Is it not possible to do it through the SOPC GUI? 

Can this be done only at the vhdl level?  

Then, what are files should I use to connect my VHDL code and Avalon interface? 

 

Best regards
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
376 Views

that is because SGDMAs have packets which must be included those signals. 

 

if you create your own component, you must attach those signal ( even they are just dummy) 

if you use some other IP-core, you can create adopter which has Avalon-ST sink with packet and Avalon-ST source without packet.( or opposite type ). 

 

there is always way to figure out.
0 Kudos
Altera_Forum
Honored Contributor II
376 Views

Thanks, 

This can be corrected with the help of the command 

sopc/system/insert avalon st adapters 

We received two additional components.
0 Kudos
Altera_Forum
Honored Contributor II
376 Views

right 

 

I have forgotten Avalon ST Adapters. 

that is easy way as you know 

 

sorry.
0 Kudos
Reply