Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12589 Discussions

Using ModelSim in Eclipse

rlutch
Beginner
642 Views

Hi all, I've been having trouble running my Eclipse systems as Nios II ModelSim. Running as Nios II hardware is okay for me. I am using the same .elf file that was working with the hardware simulation. Here are my run configurations, I'm not too sure where my error is:

Capture.PNG

0 Kudos
2 Replies
EricMunYew_C_Intel
Moderator
597 Views

Right click your project folder, click Run As and Nios II Modelsim.


0 Kudos
rlutch
Beginner
591 Views

Thanks for the reply, however following those steps is what gave me the window in the post. I actually figured it out since posting, I'll leave the solution here if anyone else is having the same problem. You have to select the appropriate path for modelsim, for me this was: C:\intelFPGA_lite\17.0\modelsim_ase\win32aloem

Then you have to click 'advanced'  and specify the working directory for your quartus project, then click apply and run

0 Kudos
Reply