Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12589 Discussions

Using Qsys to interface with mpu6050 I2C

AHoek
Beginner
1,032 Views

Im currently working on a project for school, my teammates and I are getting stuck.

 

We want to use two gpio pins of the de2-115 as a sda and scl pin. We don't have that much time to code our own I2C protocol in VHDL. Therefore we want to use the Qsys tool in Quartus. We are all beginners of this tool and can't seem to get it working. Is there some tutorial or pdf file to use Qsys and set up I2C in Qsys.

0 Kudos
5 Replies
Isaac_V_Intel
Employee
954 Views

Hello Arie, sorry for the late response,

 

There's a wiki where you can find and work with an I2C wrapper attached in the link [1], you can follow the wiki, then when you finish those steps you need to add to your Quartus project with the following steps.

 

 (1) Put I2c_opencores_12.0 in your Quartus installation directory->ip directory. 

(2) Open Qsys 

(3) You will see I2C Master(opencores.org) component.You can find it in Interface Protocols->Serial->I2C Master. 

 

Regards,

Isaac.

 

[1] https://forums.intel.com/s/createarticlepage?articleid=a3g0P0000005Rd2QAE&action=view&language=en_US

0 Kudos
AHoek
Beginner
954 Views

Hi Isaac,

 

Thanks for your answer. I will see if it works. I will keep you up to date.

0 Kudos
AHoek
Beginner
954 Views

Hi Isaac,

 

I just saw that it uses a wishbone interface. But our teacher told us to work with a avalon memory mapped interface. Do you have some kind of documentation with that too?

 

 

 

0 Kudos
Isaac_V_Intel
Employee
954 Views

Hi Arie,

 

There ir a guide that explains the avalon memory interface with your board on the following link [1], let me know if it works for you.

 

[1] http://www-ug.eecg.utoronto.ca/msl/manuals/Video.pdf

 

Best regards,

Isaac.

0 Kudos
Isaac_V_Intel
Employee
954 Views

Hello Arie,

 

Did the documentation works for you?

 

Best regards,

Isaac.

0 Kudos
Reply