Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

Writing data using IOWR

Altera_Forum
Honored Contributor II
1,432 Views

HI, 

 

I had created a custom component using qsys and using avalon MM interface. 

The base address was assigned as 0x5050. 

 

I'm using the command below for write and read operations. 

IOWR_32DIRECT(CUSTOM_COMPONENT_0_BASE, 0,4); 

INFO = IORD_32DIRECT(CUSTOM_COMPONENT_0_BASE, 0) 

 

It seems to me the write API was not functioning as the INFO is showing 1 instead 4. 

I'm currently using Quartus13. 

Is anyone know the reason/clues why the data is not being written correctly? 

 

Thanks  

HY
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
422 Views

http://www.alteraforum.com/forum/showthread.php?t=32282 

 

This link might be helpful. It points to a previous discussion.
0 Kudos
Reply