Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12600 Discussions

component creation bug? (TOP_LEVEL_MODULE not specified)

Altera_Forum
Honored Contributor II
1,342 Views

Today I created a component in the SOPC Builder 11.0 

 

When I created the component, I could add it without errors to my comfiguration, and generate the system. but when I would open my configuration, it says that the TOP_LEVEL_MODULE is not specified. After some trying I found out that the problem seems to lay in the following line in the .tcl file: 

 

set_module_property STATIC_TOP_LEVEL_MODULE_NAME "" 

 

This line is automatically generated. When I removed it, there was no problem with the component, but with the line like this in the .tcl file, I get the TOP_LEVEL_MODULE error. My solution was to add the top level module name between the quotation marks. It is something that I had to do by hand. 

 

Is this a (known) bug, or maybe some setting or step that I missed?
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
429 Views

This one is news to me so can you attach your component to a service request and describe what selections you made on the first tab in component editor: http://www.altera.com/mysupport 

 

The documentation for this one is on page 48 of this document: http://www.altera.com/literature/hb/qts/qsys_tcl.pdf
0 Kudos
Altera_Forum
Honored Contributor II
429 Views

today I've created another component, but here I don't get any errors. This new component has only one module, no files included, that's a difference compared to the other part where there is one module with serveral included files (with sub-modules). I will send the service request next week.

0 Kudos
Altera_Forum
Honored Contributor II
429 Views

Any solution to this. I recently moved from Q10.1 to 11. None of my custom components will now work under either Q_Sys or SOPC_builder. They all fail with the same module not found error.  

 

A bit of digging... 

 

the quartus_map command runs fine and creates the following XML file 

 

<?xml version="1.0" encoding="ISO-8859-1" ?> 

<QUARTUS_MAP_OUTPUT> 

<QUARTUS version="Version 11.0 Build 157 04/27/2011 SJ Full Version" platform="[H[2J"/> 

<FILE name="keypad.vhd" path="/usr/quartus/ngunton/ip/keypad/" language="VHDL" languageversion="VHDL_1993"> 

<MODULE name="keypad"> 

<LIBRARIES> 

<LIBRARY name="ieee.std_logic_1164.all"/> 

<LIBRARY name="ieee.numeric_std.all"/> 

<LIBRARY name="std.standard.all"/> 

</LIBRARIES> 

<PORTS> 

<PORT name="sys_clk" direction="input" type="std_logic"/> 

<PORT name="resetn" direction="input" type="std_logic"/> 

<PORT name="row" direction="input" type="std_logic_vector" rangeleft="3" rangeright="0"/> 

<PORT name="col" direction="output" type="std_logic_vector" rangeleft="3" rangeright="0"/> 

<PORT name="shift" direction="output" type="std_logic_vector" rangeleft="31" rangeright="0"/> 

</PORTS> 

</MODULE> 

</FILE> 

</QUARTUS_MAP_OUTPUT> 

 

The messages from the create component wizard then read: 

Debug: Debug: Exception thrown while parsing XML from analyser 

Error: Error: No modules found when analyzing /usr/quartus/ngunton/ip/keypad/keypad.vhd. 

 

No amount of editing the _hw.tcl file has yet provided a solution. 

 

This problem occurs with both components consisting of a single file and of multiple files.
0 Kudos
Altera_Forum
Honored Contributor II
429 Views

Solution is in /etc/issue, mine was null. The posts on quartus_map seg faulting in Quartus 10 led me to the problem. I was initially misled as qenv.sh, called by quartus_map sets PLATFORM from uname and PLATFORM in the xml file generated by quartus_map contained non-printing garbage. Why it doesn't stick with uname...

0 Kudos
Altera_Forum
Honored Contributor II
429 Views

Trying to parse /etc/issue is completely fubar. 

They might as well try to parse /etc/motd :-)
0 Kudos
Reply