Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12603 Discussions

create interface to user logic

Altera_Forum
Honored Contributor II
1,257 Views

Hello, 

 

Is there any tutorial to make a new SOPC component (interface to user logic)... I would like to create one and add it to Nios 2 reference design... And write the device driver to be used with the Nios IDE.  

 

Regards 

 

Christian
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
439 Views

try an333 in the documents folder of the Nios II install. 

I don't know if it tells you how to make it work in the IDE though. But if you get that far, hopefully one of us or another forum member can help you through that.
0 Kudos
Altera_Forum
Honored Contributor II
439 Views

Also the interface hasn't changed from Nios I to NIOS II so if you get old info it should be fine (but it will not help you with the driver thing).

0 Kudos
Altera_Forum
Honored Contributor II
439 Views

right, the UDI is actually shipped with sopc builder, so Nios is not really involved. Until you want to use the IDE that is :-s

0 Kudos
Altera_Forum
Honored Contributor II
439 Views

One thing to remember though using it: Don't declare you're addresses on that bus as volatile if you are using the full NIOS II core. You're data will get cached. Use the IOWR, IORD commands to talk to the interface. The other cores don't have data cache so you're fine there not using those functions.

0 Kudos
Reply