Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

gate level simulation problem

Altera_Forum
Honored Contributor II
1,637 Views

Hello, I am working on a DVI interface, I am sending video data serially, every thing work well, apart from the post place and route simulation, I have this worning and I didn't get any thing.# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop/altera_internal_jtag/tdo has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop/altera_internal_jtag/tmsutap has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop/altera_internal_jtag/tckutap has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop/altera_internal_jtag/tdiutap has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop/\serdes_instG|altgx_core_alt4gxb_component|cal_blk0\/nonusertocmu has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop/\serdes_instG|altgx_core_alt4gxb_component|cent_unit0\/control_top_0/m_map/refclkdividerdprioout(1 downto 0) has no driver.# This port will contribute value (UU) to the signal network.# Region: /dvi_maintop 

Please any help will be apreciated :) 

Thank you
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
588 Views

it sounds like there are some ports you have forgotten to connect up in your testbench.

0 Kudos
Altera_Forum
Honored Contributor II
588 Views

Thank you for your help, I've connected all ports in test bench but I still have this errors and wornings, seems like no librarie is taken in account: 

# ** Warning: (vopt-1946) simulation/modelsim/dvi_mainTOP_vhd.sdo(132637): Can't resolve SDF pathname "outclk_and" - instance not found.# ** Warning: (vopt-1946) simulation/modelsim/dvi_mainTOP_vhd.sdo(282567): Can't resolve SDF pathname "outclk_and" - instance not found.# ** Warning: (vopt-1946) simulation/modelsim/dvi_mainTOP_vhd.sdo(151056): Can't resolve SDF pathname "outclk_and" - instance not found.# ** Warning: (vopt-1946) simulation/modelsim/dvi_mainTOP_vhd.sdo(146446): Can't resolve SDF pathname "outclk_and" - instance not found.# ** Warning: (vopt-1946) simulation/modelsim/dvi_mainTOP_vhd.sdo(211377): Can't resolve SDF pathname "outclk_and" - instance not found. 

# ** Error: (vsim-SDF-3250) simulation/modelsim/dvi_mainTOP_vhd.sdo(145519): Failed to find INSTANCE '\stratix_reset_n~input\'.# ** Error: (vsim-SDF-3250) simulation/modelsim/dvi_mainTOP_vhd.sdo(164549): Failed to find INSTANCE '\stratix_reset_n~inputclkctrl\'.# ** Error: (vsim-SDF-3250) simulation/modelsim/dvi_mainTOP_vhd.sdo(164549): Failed to find INSTANCE 'outclk_and'.# ** Error: (vsim-SDF-3894) : Errors occured in reading and resolving instances from compiled SDF file(s). 

# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop_vhd_tst/i1/altera_internal_jtag/tdo has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop_vhd_tst# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop_vhd_tst/i1/altera_internal_jtag/tmsutap has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop_vhd_tst# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop_vhd_tst/i1/altera_internal_jtag/tckutap has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop_vhd_tst# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop_vhd_tst/i1/altera_internal_jtag/tdiutap has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop_vhd_tst# ** Warning: (vsim-8683) Uninitialized out port /dvi_maintop_vhd_tst/i1/\serdes_instG|altgx_core_alt4gxb_component|cal_blk0\/nonusertocmu has no driver.# This port will contribute value (U) to the signal network.# Region: /dvi_maintop_vhd_tst 

 

what could be causing these errors ? 

 

thank you again...
0 Kudos
Altera_Forum
Honored Contributor II
588 Views

Thank you Tricky, you are wright, now it works fine. 

Thank you again
0 Kudos
Reply