Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

slow clock pulse

Altera_Forum
Honored Contributor II
964 Views

hello I designed a 12 hour am/pm time clock and Im trying to slow down the 

clock pulse in altera. How do you do it? I assigned PIN_N2 as my clock input on my circuit. I'm using DEII cyclone 

 

thanks
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
297 Views

Fyi.............

0 Kudos
Reply