Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20869 Discussions

ALTLVDS rx timing issue

kikoss
New Contributor I
257 Views

Hello I have hold timing issue on the ALTLVDS RX  inside logic .. 

I have an ALTLVDS RX ip confgiured like that

kikoss_0-1719928811290.png

 

i get hold timing issues in timequest : 

 

-0.019 u_tx_rx_wrapper|u_adc_controller|lvds_0|core|arch_inst|rx_channels[1].dpa_fifo.serdes_dpa_inst~rx_internal_reg u_tx_rx_wrapper|u_adc_controller|lvds_0|core|arch_inst|rx_channels[1].dpa_fifo.des[4].rxout_ufi~ufi_write_reg u_tx_rx_wrapper|u_tx_rx_pll|iopll_0_fclk0 u_tx_rx_wrapper|u_tx_rx_pll|iopll_0_loaden0

 

why its occur ?  its inside the altera IP .. 

 

Thx 

kikoss 

Labels (2)
0 Kudos
4 Replies
AqidAyman_Intel
Employee
170 Views

Hello,


May I know what is your input clock frequency?




0 Kudos
kikoss
New Contributor I
159 Views

Hello 

the input clock frequency is 96Mhz 

 

Thx

 

0 Kudos
AqidAyman_Intel
Employee
124 Views

Hello,


Thank you for your confirmation. Does it come from the dedicated reference clock pin within the I/O bank?


Which device is this and you are using which version of Quartus Prime?


Regards,

Aqid


0 Kudos
AqidAyman_Intel
Employee
15 Views

Hello,


I wish to follow up. Do you have any update?


Regards,

Aqid


0 Kudos
Reply