Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20917 Discussions

ALTLVDS rx timing issue

kikoss
New Contributor I
614 Views

Hello I have hold timing issue on the ALTLVDS RX  inside logic .. 

I have an ALTLVDS RX ip confgiured like that

kikoss_0-1719928811290.png

 

i get hold timing issues in timequest : 

 

-0.019 u_tx_rx_wrapper|u_adc_controller|lvds_0|core|arch_inst|rx_channels[1].dpa_fifo.serdes_dpa_inst~rx_internal_reg u_tx_rx_wrapper|u_adc_controller|lvds_0|core|arch_inst|rx_channels[1].dpa_fifo.des[4].rxout_ufi~ufi_write_reg u_tx_rx_wrapper|u_tx_rx_pll|iopll_0_fclk0 u_tx_rx_wrapper|u_tx_rx_pll|iopll_0_loaden0

 

why its occur ?  its inside the altera IP .. 

 

Thx 

kikoss 

Labels (2)
0 Kudos
10 Replies
AqidAyman_Intel
Employee
527 Views

Hello,


May I know what is your input clock frequency?




0 Kudos
kikoss
New Contributor I
516 Views

Hello 

the input clock frequency is 96Mhz 

 

Thx

 

0 Kudos
AqidAyman_Intel
Employee
481 Views

Hello,


Thank you for your confirmation. Does it come from the dedicated reference clock pin within the I/O bank?


Which device is this and you are using which version of Quartus Prime?


Regards,

Aqid


0 Kudos
AqidAyman_Intel
Employee
372 Views

Hello,


I wish to follow up. Do you have any update?


Regards,

Aqid


0 Kudos
kikoss
New Contributor I
342 Views

Hello sorry for the delay 

i was in vacancy 

 

the quartus version is quartus prime 24.1

yes the clk is dedicated clock : BANK 2F  pin DD47

 

Thx 

0 Kudos
AqidAyman_Intel
Employee
255 Views

Apologies not asking this earlier. Which device does this LVDS IP target? 


0 Kudos
kikoss
New Contributor I
227 Views

Hello targeting the agilex7 f series : AGIB027R31B1E1V 

0 Kudos
AqidAyman_Intel
Employee
139 Views

Hello,

 

We have tried creating an example design with the parameters shown and saw no timing failures. The worst-case slack for the timing path listed was 2.853.

image002.png

The large discrepancy between the slack we are seeing and the slack you are seeing is mostly likely due to you having a different clock relationship because their PLL clocks are configured incorrectly.

Ensure that the VCO frequency and the outclk frequency/phase shift/duty cycle settings on the external PLL driving the LVDS IP match the settings listed in the Clock Resource Summary in the LVDS IP.

image003.png

Also, please ensure that the reference clock frequency on the PLL IP matches the Actual inclock frequency on the LVDS IP as the settings listed in the Clock Resource Summary tab may not be attainable with the wrong reference clock frequency.

image004.png

 

Regards,

Aqid

 

0 Kudos
AqidAyman_Intel
Employee
139 Views

If it’s not caused by incorrect clock configuration, other possible causes include using an outdated SDC due to using an old Quartus version (19.2 or older) or a placement/routing issue.


0 Kudos
kikoss
New Contributor I
24 Views

I dont see any issue on the design ... iam using : quartus prime 24.1 .. 

 

any idea ? 

 

0 Kudos
Reply