Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

Anyone succesfully produced a TDC design

Altera_Forum
Honored Contributor II
1,331 Views

Hi folks, 

 

I have been trying to achieve a TDC design that can give me time measurements in the 10's of ps, so far the best i have is in the many 100's ps. 

 

The synthesis seems to alternate between DATAC and DATAD inputs in the LLCEL's of the chain and therefore the CELL propagation varies between 150ps and 300ps (approx) on a cyclone III, I have been totally unsuccessful to get the synthesis to create any carry (CIN,COUT) chain only chain links have been through COMBOUT. I have manully placed the LLCOM and FF blocks so they are in the same LLCEL and all in the same LLCELL block. 

If anyone has done better and is willing to help please respond and thank you in advance. 

 

Robert
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
437 Views

In a previous post, mart_raf referred to a publication of carry chain TDC implemented with Altera FPGA. He also reported to got it finally working. 

http://www.alteraforum.com/forum/showthread.php?t=4705 

 

Personally I did some tests with lcell_comb primitive, not related to TDC. But as far as I remember, it could be used to access the carry chain. Assignment of LUT inputs dataa to datad is however mostly ignored by Quartus, apparently due to timing constraints or routing resource conflicts. But it can work in special cases, as recently reported. Did you find a way to assign the LUT inputs obligatory? 

http://www.alteraforum.com/forum/showthread.php?t=27284
0 Kudos
Altera_Forum
Honored Contributor II
437 Views

Using the information I got the synth to produce through the RTL what I thought was what I wanted see pic attached, However all it does is to join all the buffer inputs togther like: B1_ain (delff:delff1|ain) = LCELL(A1L108) B1_aout (delff:delff1|aout) B1_bout (delff:delff1|bout) B1_cout (delff:delff1|cout) B1_dout (delff:delff1|dout) B1_eout (delff:delff1|eout) B1_fout (delff:delff1|fout) B1_gout (delff:delff1|gout) B1_hout (delff:delff1|hout) what i was hoping for was the quickest path through the LAB in the chain, expecting that to be the CIN-COUT connections 

 

Any thoughts... thanks
0 Kudos
Reply