Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20684 Discussions

Arria V Avalon-MM Hard IP for PCIe PLL lock

KSMark
Beginner
223 Views

Is there a pin from the Avalon-MM Arria V Hard IP for PCI Experess that I can monitor the serdes_pll_locked signal or someother downstream signal I can infer the pll lock state.  I am getting an occasional reset from the PCIe core(during and ESD event).  I have mostly ruled out the reset going into the part and would like to determine if my clock is remaining stable.

 

I am using quartus version 18.1.0 and the part is an 5AGXMB7G4F35I5.

0 Kudos
3 Replies
Farabi
Employee
178 Views

Hello, 

 

You can create another pin connected to the serder_pll_locked or coreclkout_hip clock signal with platform designer to monitor the pll locked signal. 

PCIe_avalon.PNG

 

regards,
Farabi

0 Kudos
Farabi
Employee
177 Views

attached is userguide

0 Kudos
Farabi
Employee
161 Views

Hello,


We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


regards,

Farabi



0 Kudos
Reply