Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20638 Discussions

Arria V SOC clock sources

Altera_Forum
Honored Contributor II
2,213 Views

Hello. 

I created a sample project (simple verilog code) in Arria V SOC dev board. The design needs a clock, but I can't seem to get the right clock source from the multitude of available clock sources on the board. I tried using the following clocks and other clock sources, 

 

AE22 - MII Receive Clock 

AN23 - 25-MHz MII Transmit Clock 

 

but I am not getting any clock pulses. 

 

Please help. 

 

Thanks.
0 Kudos
10 Replies
Altera_Forum
Honored Contributor II
467 Views

So you have a user logic design that you are attempting to use HPS EMAC clock pins with? Those EMAC clock pins wire up to the EMAC core in the HPS and are *not* available to the FPGA so if that was your intension you should pick an FPGA clock instead.

0 Kudos
Altera_Forum
Honored Contributor II
467 Views

Hi, BadOmen 

 

Both AE22 and AN23 are actually connected to Ethernet FPGA (not HPS). 

Anyway, I got another suggestion to connect my clock to AU32 (50 MHz, FPGA clock). 

My project is now working. 

 

Thanks.
0 Kudos
Altera_Forum
Honored Contributor II
467 Views

Are you using the Arria V SoC Development Kit, do you could be help me i have an issue trying to set-up the Arria, appeared the LED in Red of MAX_ERROR.  

When you started to work with the Aria doesn't happened this issue to you. 

 

Thanks, 

 

Alex.
0 Kudos
Altera_Forum
Honored Contributor II
467 Views

Hi, Alex. 

Yes, I use Arria V ST SOC kit. I also experienced MAX_ERROR (red LED) that is turned on, but strangely, my board was working fine in spite of that. 

If your board is not working, try checking if your HPS jumpers and your DIP switches (usually SW3 and SW4) are in their right configuration. 

 

Regards.
0 Kudos
Altera_Forum
Honored Contributor II
467 Views

Hi Budy, 

 

Yeah i'm completely sure that the Jumpers and DIP Switches are like factory default settings i already checked this several times, do you recommend  

another solution? I'm very frustrated with that, do you have an simply design that you found to test (i.e. wiki Altera examples or development kit examples)  

that you recommend? 

 

Thank you, 

 

Alex.
0 Kudos
Altera_Forum
Honored Contributor II
467 Views

Hello, 

I too came up with similar need of knowing clock pins. Is there any manual, excel file or any other file where we can get all the pin assignments? 

 

Thank you all in advance. 

 

Regards
0 Kudos
Altera_Forum
Honored Contributor II
467 Views

 

--- Quote Start ---  

Hello, 

I too came up with similar need of knowing clock pins. Is there any manual, excel file or any other file where we can get all the pin assignments? 

 

Thank you all in advance. 

 

Regards 

--- Quote End ---  

 

 

I believe the installation zip here: https://www.altera.com/content/dam/altera-www/global/en_us/support/boards-kits/arriav/arriavst_5astfd5kf40_soc_v15.1.0b185.zip 

 

should have a "golden_top" folder (or something like that) which has all the pins on the board defined (with the correct pin location)
0 Kudos
Altera_Forum
Honored Contributor II
467 Views

Thank you for the reply. 

It has the information in a spread manner, the information is given in layout files. There is no consolidated file providing the information. 

 

Regards
0 Kudos
Altera_Forum
Honored Contributor II
467 Views

What I mean is to open up the Quartus project (.qpf) located inside the golden_top folder. If you open the pin assignments within the project, it should have all the pins defined. In that way, you can just edit on top of this project (re-use the same pin name in your design file).

0 Kudos
Altera_Forum
Honored Contributor II
467 Views

 

--- Quote Start ---  

I believe the installation zip here: https://www.altera.com/content/dam/altera-www/global/en_us/support/boards-kits/arriav/arriavst_5astfd5kf40_soc_v15.1.0b185.zip 

 

should have a "golden_top" folder (or something like that) which has all the pins on the board defined (with the correct pin location) 

--- Quote End ---  

 

 

---------------------------------------------------------------------------------------------- 

Certainly, this contains all the appropriate files to start with the "bare-metal" design,  

or you may also check in Google: bare-metal gold designs.  

:) 

 

-- 

Alex
0 Kudos
Reply