Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
21345 Discussions

Ayuda con la compilación de un código.

Altera_Forum
Honored Contributor II
1,351 Views

Hola;) !. TengO un problema con este código me aparece el siguiente error: 

 

 

Error: Top-level design entity "COMPONENTES" is undefined 

 

 

Al principio me faltaba un " ; ", pero no era eso. Pues me sigue saliendo el mismo error. Por favor ayudenme con este inconveniente. Gracias  

anticipadamente! 

 

 

 

Library ieee; 

use ieee.std_logic_1164.all; 

 

package COMPONENTES is 

 

COMPONENT REG_DES is 

port(SLSI,SRSI,x,y,z,o: in std_logic; 

S0,s1,clrn,clk: in std_logic; 

QA,QB,QC,QD: OUT std_logic); 

end component; 

 

component mux_21 is 

port(sel:in std_logic; 

A,B: in std_logic_vector(4 downto 1); 

GN: in std_logic; 

y: out std_logic_vector(4 downto 1)); 

end component; 

 

component BCD_7SEG is 

port(BIN,RBIN,LTN,D,C,B,A: in std_logic; 

RBON,OH,OG,OE,OD,OC,OB,OA: out std_logic); 

end component; 

 

end COMPONENTES;
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
823 Views

Estás tratando sintetizar un paquete de componentes esto no es posible, ya que este paquete es un conjunto de dispositivos que puedes instanciar desde una entidad. Al parecer, tu diseño incluye un registro, un multiplexor y un decodificador de BCD a 7 segmentos, deberías tener una entidad que en la cual los instancies y los conectes (la entidad de nivel alto), si ya tienes este archivo debes abrirlo ir al menu project->set as top level entity y listo. Quartus está creyendo que la entidad de nivel alto se llama COMPONENTES, supongo así llamaste el proyecto. Te recomiendo revisar un libro de VHDL para aclarar todos estos aspectos del lenguaje. 

 

Deberías tratar en la mayor parte de los posible escribir tus publicaciones en inglés para recibir mayor ayuda de toda la comunidad.
0 Kudos
Reply