Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

Boundary Scan Testing MAX II

Altera_Forum
Honored Contributor II
1,259 Views

I'm trying to perform Boundary Scan testing on my programmed MAX II, and I can't seem to sample pins that are configured as outputs, Anyone know why?

0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
494 Views

This is because, by default the input buffers are turned off for I/O pins that are configured as outputs in your design. 

 

To regenerate your programming file to enable this, you need to set the 'Always Enable Input Buffers' option in Quartus and re-run Assembler.  

 

This option is in the Assembler section under the Assignments/Settings menu.
0 Kudos
Altera_Forum
Honored Contributor II
494 Views

Or you may try using the BSDLCustomizer. It's a tcl script that modifies the BSDL files according to your PIN file. So, when you run that script, a new BSDL file will be generated and you can use that to test your MAX II with the pins configured as output (even when the input buffers are turned off). 

 

You may get it here: ftp://ftp.altera.com/outgoing/download/bsdl/bsdlcustomizer.zip
0 Kudos
Reply