Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20687 Discussions

Cyclone III Configuration

Altera_Forum
Honored Contributor II
1,017 Views

I am bring up a board with a Cyclone III (256 pin). I have connectors for JTAG and the byte-blaster. MSEL0 = 0, MSEL1 = Vcca(2.5V), MSEL2 = 0. 

 

I can load the configuration via JTAG and I can configure our EPCS16 device via the byte-blaster (AS). Unfortunately the code does not appear to be running in either case (Blinky). 

 

In our system the 3.3V supply comes on first with the 1.2V and 2.5V supply turned on later by firmware. It is my understanding that the Cyclone II device will stay in reset until all these voltages are present.... 

 

I would appreciate any advice on debugging this. 

 

Thanks 

Rich
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
317 Views

Your problem statement is not clear. 

 

Ignore the power sequencing for a start. Power-up all supplies and program the device via JTAG. Does your design work at that point? If not, have you checked the obvious, eg., does your clock pin have a clock on it. 

 

Once you have confirmed that the FPGA actually works, then you can figure out if there is an issue with power sequencing. 

 

You comment that firmware enables the other supplies. What firmware? Something external to the FPGA or something internal? If its internal then don't you have a fundamental design problem? 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
317 Views

The other supplies are turned on by a micocontroller. Good idea to check for clock, you nailed it! I find no clock on my oscillator IC, investigating.... 

 

Rich
0 Kudos
Altera_Forum
Honored Contributor II
317 Views

 

--- Quote Start ---  

The other supplies are turned on by a micocontroller. 

--- Quote End ---  

 

If the supplies are used by the Cyclone, then make sure the power-on sequence meets the requirement of the FPGA power-on-reset. If it does not, then you may have to assert nCONFIG low until all the supplies are valid. 

 

 

--- Quote Start ---  

 

Good idea to check for clock, you nailed it! I find no clock on my oscillator IC, investigating.... 

 

--- Quote End ---  

 

Check for a 180-degree rotation of the part ... darned rotationally symmetric parts ... :) 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
317 Views

The original design used an oscillator with two ground pins, Vcc, and out. A replacement was used that had a standby pin, which in our design is grounded. 

 

Ugh. 

 

Thanks for the clue. 

 

Rich
0 Kudos
Altera_Forum
Honored Contributor II
317 Views

 

--- Quote Start ---  

The original design used an oscillator with two ground pins, Vcc, and out. A replacement was used that had a standby pin, which in our design is grounded. 

 

--- Quote End ---  

 

Time to break out the soldering iron :) 

 

Cheers, 

Dave
0 Kudos
Reply