Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20692 Discussions

Cyclone III multi-device AS problem

Vic3Dexe
New Contributor I
456 Views

I have two identical EP3C16Q240C8N in multi-device AS scheme.

First, I've soldered master and EPCS16 and checked it is accessible through JTAG and AS.

It was fine, so I've soldered slave device, and now both of them refuse to work.

The schematic is as show in cyclone 3 handbook, figure 9-4, slave in PS mode (all MSEL = 0).

Both devices has 3.3V I/O, all pullups is to this voltage.

I have buffers (74LVC1G125) on AS DATA and AS DCLK lines to the slave device.

Both devices accessible through JTAG, but I can program only the master because of this . But even when master is programmed successfully, it can't get into user mode beacuse of slave's CONF_DONE (I assume).

I've double and triple checked all connections. I assume, because they both visible through JTAG, power is ok.

 

Appreciate for any help.

0 Kudos
1 Solution
Vic3Dexe
New Contributor I
433 Views

Problem solved.

I'm very embarassed.

You (of course) need to assign nCEO at master as programming pin.

I'm really don't know how did it turn out as regular i/o.

 

Sorry to bother you.

View solution in original post

0 Kudos
4 Replies
YuanLi_S_Intel
Employee
444 Views

So what is the exact question? You cant program using our quartus programmer? if it so, what is error message obtained?


0 Kudos
Vic3Dexe
New Contributor I
441 Views

The problem: they both don't load from EPCS.

All user i/o pins at both devices at Vccio - 0.2V (Vccio = 3.4, all pins has about 3.22).

Master nCEO = slave nCE = 3.4V (pullup 10k to 3.3 rail).

CONF_DONE = 0.

nCONFIG = 3.4V.

nSTATUS = 3.4V.

Just rechecked slave connections - all ground/power/program pins soldered correctly.

And again - signle master worked correctly.

0 Kudos
Vic3Dexe
New Contributor I
434 Views

Problem solved.

I'm very embarassed.

You (of course) need to assign nCEO at master as programming pin.

I'm really don't know how did it turn out as regular i/o.

 

Sorry to bother you.

0 Kudos
YuanLi_S_Intel
Employee
424 Views

I am glad it is solved now.


No worries, probably you can share the steps in detail so that we can benefit the community in this forum.


0 Kudos
Reply