Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Error(14703) Invalid internal configuration mode for design with pre-initialized eram

Altera_Forum
Honored Contributor II
3,004 Views

Hey, 

i want to compile my project. Everything is fine until the Assembler starts to work, 

i got 60 errors with the following code: 

Error(14703) Invalid internal configuration mode for design with pre-initialized eram 

 

I use a MAX10 M16SCE144C8G and Quartus 15.1 

I read that there was a mistake in Qautus 14.0, so you just should add a line in the .qsf file, but this seems to be impossible with Quatus 15.1. 

With the MAX10 M16SCE144C8G i can only choose between two configuration modes: single compressed image or single uncompressed Image. 

Is it necessary to add a Qsys File or is ther any other simple solution? 

 

Thanks for your help ;-)
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
1,149 Views

According to Max10 Device Overview document (table 3), memory init is not supported for the SC variant. 

For me using Quartus 15.1, the SA variant accept memory init but also required configuration mode to be changed to include mem inits..
0 Kudos
Altera_Forum
Honored Contributor II
1,149 Views

Error (14703): Invalid internal configuration mode for design with memory initialization 

 

 

Hi i am getting this error in quartrus prime 16.0 edition. i am using it for max10 device.. I am getting this error while assembling... 

 

Anybody help me out of this query.
0 Kudos
Altera_Forum
Honored Contributor II
1,149 Views

Hi everyone! 

I use quartus prime 16.0 on DECA board with max10 device and also get this error. 

I find on altera.com and get this advice: 

"To work around this problem, use the following Quartus II Settings File (.qsf) assignment 

set_global_assignment -name ENABLE_ERAM_PRELOAD ON 

This problem is scheduled to be fixed in a future release of the Quartus II software." 

 

But I don't find "ENABLE ERAM PRELOAD ON" in Assignment Editor. 

Can anybody help me? 

Thank you very much!
0 Kudos
Altera_Forum
Honored Contributor II
1,148 Views

Close quartus then add the line: 

set_global_assignment -name ENABLE_ERAM_PRELOAD ON 

to your qsf. 

 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,149 Views

Thank for your answer ! 

But after I add line "..." to my qsf, I can't open my project, It report error in added line.
0 Kudos
Reply