Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Error:I/O std LVDS on output I/O pin cannot have Termination logic option setting

Altera_Forum
Honored Contributor II
1,275 Views

Hi,  

 

I have created simple schematic design [consists of 4-bit counter and Ibufout-diff [from IP catlog]. While compilation, it`s showing error.  

 

one more issue is, i have assigned only 4 pins[3..0], but in pin planner its taking extra pin count. I dont know why.  

 

Please look over my design and Pin planner diagram.
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
506 Views

Your pictures are way too small to see anything.

0 Kudos
Altera_Forum
Honored Contributor II
506 Views

Hi, 

 

Also if possible attach the project? 

or Save the message/error and attach. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
506 Views

 

--- Quote Start ---  

Hi, 

 

Also if possible attach the project? 

or Save the message/error and attach. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation) 

--- Quote End ---  

 

 

 

I am unable to upload the project. So please check my attachment. In Schematic design, total output pins are 4. But in Pin planner output ports are missing only clk and reset pin is available
0 Kudos
Altera_Forum
Honored Contributor II
506 Views

I don't see any output pins on your schematic design

0 Kudos
Altera_Forum
Honored Contributor II
506 Views

 

--- Quote Start ---  

I don't see any output pins on your schematic design 

--- Quote End ---  

 

 

I am new to Altera Quartus. Doing LVDS testing from Altera Cyclone to Xilinx Board. Can anyone conform whether my block design is right or wrong. Design Flow sending input from 4-bit counter to single-ended input and differential output Alter buffer. Then passing differential output LVDS signal from Cyclone V board to Xilinx Custom Board. I tried lot but it is not working. So, anyone please guide me.
0 Kudos
Altera_Forum
Honored Contributor II
506 Views

It looks fine now. Be sure to select the LVDS I/O type for your output pins in the pin planner.

0 Kudos
Reply