Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

Error: No EPCS layout data - looking for section [EPCS-9D6017]

RWeiz
Beginner
3,504 Views

Error: No EPCS layout data - looking for section [EPCS-9D6017]

Error: Unable to use EPCS device

Error: Error code: 8 for command: nios2-flash-programmer "D:/ANSER_Proj/Altera_FPGA/TIJ_Engine_proj/software/TIJ_bsp/flash/DE10_NANO_TIJ_Engine_epcs_flash_controller_0.flash" --base=0x41000 --epcs --sidp=0x42138 --id=0x42138 --timestamp=1555660140 --device=2 --instance=0 '--cable=DE-SoC on localhost [USB-1]' --program --verbose 

Image 3.png

0 Kudos
20 Replies
JohnT_Intel
Employee
2,556 Views
Hi, May I know which Quartus version and EPCS device are you using? Are you using DE1-SOC (https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=205&No=836&PartNo=1) board?
0 Kudos
RWeiz
Beginner
2,556 Views
https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=Taiwan&No=1047

 

0 Kudos
RWeiz
Beginner
2,556 Views
Quartus (Quartus Prime 18.1) Lite Edition

 

0 Kudos
JohnT_Intel
Employee
2,556 Views
Hi, Could you confirm which board version are you using as I suspect that you are using Rev A or B which is utilizing EPCS128 flash device? Please refer to https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=165&No=1046&PartNo=4 on how to check the board version
0 Kudos
RWeiz
Beginner
2,556 Views
EPCS64

 

Image 8.jpg

0 Kudos
JohnT_Intel
Employee
2,556 Views
Hi, That is what you set but I don't think EPCS64 is used on the board. Please confirm your board version.
0 Kudos
RWeiz
Beginner
2,556 Views

[EPCS-010216] # EPCS64N

sector_size = 65536

sector_count = 128

 

[EPCS-014017] # EPCS64N

sector_size = 65536

sector_count = 128

 

[EPCS-9D6017] # EPCS64N

sector_size = 65536

sector_count = 128

 

[EPCS-012018] # EPCS128

sector_size = 262144

sector_count = 64

 

[EPCS-FF] # EPCS64N

sector_size = 65536

sector_count = 128

 

0 Kudos
RWeiz
Beginner
2,556 Views
Rev.C  

 

0 Kudos
RWeiz
Beginner
2,556 Views

我的JIC可以燒錄成功​

 configuration device: EPCS64

Image 22.jpgImage 25.jpg

我的設備,版本

0 Kudos
RWeiz
Beginner
2,556 Views

Image 27.jpg

0 Kudos
JohnT_Intel
Employee
2,556 Views
Hi, Do you follow the user guide from Terasic chapter 8.5?
0 Kudos
RWeiz
Beginner
2,556 Views

​chapter 8.5 我有跟著做​

0 Kudos
RWeiz
Beginner
2,556 Views

Image 34.jpgImage 33.jpg

0 Kudos
JohnT_Intel
Employee
2,556 Views
May I know if you are able to use older version of Quartus which is 14.1? I would like to confirm if this is happening only in latest Quartus version only.
0 Kudos
RWeiz
Beginner
2,556 Views
0 Kudos
RWeiz
Beginner
2,556 Views
0 Kudos
JohnT_Intel
Employee
2,556 Views
Hi, Could you try the method mention in https://www.intel.com/content/www/us/en/programmable/documentation/iga1446487888057.html#jrn1481229919317 (Chapter Nios II Processor Booting from EPCQ Flash)? You will need to change the device to EPCS and Configuration mode to Active Serial Mode.
0 Kudos
RWeiz
Beginner
2,556 Views
0 Kudos
RWeiz
Beginner
2,556 Views
0 Kudos
JohnT_Intel
Employee
2,250 Views
The setting looks good to me.
0 Kudos
Reply