Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Error in Tcl Script

Altera_Forum
Honored Contributor II
6,773 Views

I am using ModelSim Altera 10.3d Quartus II !5.0 starter edition. When I open it I get an error message. 

 

can't read "_clients()": no such variable. On viewing details 

 

can't read "_clients()": no such variable 

can't read "_clients()": no such variable 

while executing 

"set _currObj $_clients($cid)" 

(object "::.bookmarkmgr" method "::vsimwidgets::bookmarkmgr::_setcurrent" body line 11) 

invoked from within 

"_setcurrent $cid" 

(object "::.bookmarkmgr" method "::vsimwidgets::bookmarkmgr::_menu_prepost" body line 16) 

invoked from within 

"::.bookmarkmgr _menu_prepost .mBar.bookmarks 1 {} 1" 

(in namespace inscope "::vsimwidgets::bookmarkmgr" script line 1) 

invoked from within 

"namespace inscope ::vsimwidgets::bookmarkmgr {::.bookmarkmgr _menu_prepost .mBar.bookmarks 1 {} 1}" 

("eval" body line 1) 

invoked from within 

"eval $post_cmd" 

(procedure "::Vsimmenu::DefaultMenuPostCmd" line 41) 

invoked from within 

"::Vsimmenu::DefaultMenuPostCmd .mBar.bookmarks {namespace inscope ::vsimwidgets::bookmarkmgr {::.bookmarkmgr _menu_prepost .mBar.bookmarks 1 {} 1}} Se..." 

(menu preprocess) 

 

 

How to remove this error
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
5,031 Views

You might have a corrupted download. It turns out that not all of the installers verify that the download is correct before installing it! See the Quartus installation guide for instructions. Verify the md5 sum of all of the Altera Quartus and Modelsim downloads you've installed. If any of them are corrupt, uninstall, re-download, and install again.

0 Kudos
Altera_Forum
Honored Contributor II
5,031 Views

Agree with Galfonz, it is recommended for you to try reinstallation. I have installed the QII 15.0 and the Modelsim as well. Tested no issue with the Modelsim with my installation.

0 Kudos
Altera_Forum
Honored Contributor II
5,031 Views

I have uninstalled and installed again. Initially it was working fine but later on it developed this problem.

0 Kudos
Altera_Forum
Honored Contributor II
5,031 Views

Is this resolved yet? Have you verified the integrity of your downloads? It won't matter if you re-install if the download is corrupted.

0 Kudos
Altera_Forum
Honored Contributor II
5,031 Views

 

--- Quote Start ---  

I have uninstalled and installed again. Initially it was working fine but later on it developed this problem. 

--- Quote End ---  

 

 

Do you mean that after installation. It works but after some time the error will come out when you open the Modelsim?
0 Kudos
Altera_Forum
Honored Contributor II
5,031 Views

 

--- Quote Start ---  

Do you mean that after installation. It works but after some time the error will come out when you open the Modelsim? 

--- Quote End ---  

 

 

All I am saying is that initially when I first installed modelsim, it was working fine, then after two days when I opened it to work on, it began showing this error and since then it is like that.
0 Kudos
Altera_Forum
Honored Contributor II
5,031 Views

No, it was not resolved. All I am saying is that initially when I first installed modelsim, it was working fine, then after two days when I opened it to work on, it began showing this error and since then it is like that.

0 Kudos
Altera_Forum
Honored Contributor II
5,031 Views

Make sure nothing in your Quartus or Modelsim installation has spaces or any not a-z 0-9 characters in the name. I use C:\Altera for the install directory. Try that as some of the paths get very long which can mess up windows. Also, verify the md5 sum of all downloads. Seriously. Make sure you don't have an Anti-virus program that deletes or quarantines files. Something like that could explain it working at first and then failing. Check to see if rebooting your PC makes it work again.

0 Kudos
Reply