Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

Exception in running vector-add on FPGA device on arria10 devcloud

amaltaha
New Contributor I
641 Views

Hello, 

I am trying to run vector-add of fpga_compile example on Intel oneAPI devcloud on hardware FPGA by ./fpga_compile.fpga 

I have connected through ssh on MobaXterm and I have specified the node to be s001-n083 which runs fpga and its state was free, but now it shows job-execlusive,  I have walked through the steps one by one and the fpga emulation works normally, I do the steps (in the build file):

1- cmake ..

2- make fpga

then I wait for 2 hours for the queue, then:

3- ./fpga_compile.fpga

 

and I receive this error:


Running on device: pac_a10 : Intel PAC Platform (pac_ee00000)
Caught a SYCL host exception:
Native API failed. Native API returns: -42 (CL_INVALID_BINARY) -42 (CL_INVALID_BINARY)
terminate called after throwing an instance of 'cl::sycl::runtime_error'
what(): Native API failed. Native API returns: -42 (CL_INVALID_BINARY) -42 (CL_INVALID_BINARY)
Aborted

 

0 Kudos
1 Solution
amaltaha
New Contributor I
558 Views

using the command 

dpcpp -fintelfpga -Xshardware <source_file>.cpp 

Solved the problem, make fpga didn't work on any node. probably the problem is in my side.

Thank you!

View solution in original post

0 Kudos
5 Replies
amaltaha
New Contributor I
628 Views

I get a different error when I try to run it on Stratix 10. which is:

 Caught a SYCL host exception:
No device of requested type available. Please check https://software.intel.com/content/www/us/en/develop/articles/intel-oneapi-dpcpp-system-requirements.html -1 (CL_DEVICE_NOT_FOUND)
terminate called after throwing an instance of 'cl::sycl::runtime_error'
what(): No device of requested type available. Please check https://software.intel.com/content/www/us/en/develop/articles/intel-oneapi-dpcpp-system-requirements.html -1 (CL_DEVICE_NOT_FOUND)
Aborted

0 Kudos
aikeu
Employee
617 Views

Hi amaltaha,


I will get back to you when I have info regarding your question.


Thanks.

Regards,

Aik Eu


0 Kudos
aikeu
Employee
564 Views

Hi amaltaha,


I have tried to run the vector add example:

https://github.com/oneapi-src/oneAPI-samples/tree/master/DirectProgramming/DPC%2B%2B/DenseLinearAlgebra/vector-add


It was ok from my side where I was able to run the whole program following the document without errors.


Sometimes the nodes may encounter issues and is better to retry again or on other nodes.


Thanks.

Regards,

Aik Eu


0 Kudos
amaltaha
New Contributor I
559 Views

using the command 

dpcpp -fintelfpga -Xshardware <source_file>.cpp 

Solved the problem, make fpga didn't work on any node. probably the problem is in my side.

Thank you!

0 Kudos
aikeu
Employee
553 Views

Hi amaltaha,


Good to hear that you managed to solve the problem on your side.

I will close this thread for now.


Thanks.

Regards,

Aik Eu


0 Kudos
Reply