Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

Factory pin state value

Altera_Forum
Honored Contributor II
1,021 Views

When i buy Altera FPGA (Cyclone V GX for example), solder it on board, and give right voltage to power pin, wich state of user I/O pin i must see ? I think Tri-stated? Can somebody show me official documentation where i can see this information?

0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
269 Views

State of unconfigured IO pins is tri-stated with weak-pull-up. See device handbook, e.g.Figure 7-1: Configuration Sequence for Cyclone V Devices.

0 Kudos
Reply