Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20702 Discussions

How can one test the SEU CRC for the Cyclone 10 LP, as there does not seem to be Fault Injection IP support for that family.

PGigl
Partner
703 Views

We want to test our system's response to a SEU CRC error from a Cyclone 10 LP.  Going through the IP catalog, I can see the Fault Injection IP when targeting an Arria/Cylone 10 GX device, but not when targeting a ​Cyclone 10 LP.  Is there any other way to simulate a fault, such as being able to write to the Option Register, where the precomputed CRC value is stored?

0 Kudos
1 Reply
Reply