Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

How to use expansion header?

Altera_Forum
Honored Contributor II
1,071 Views

Hi, 

 

I already done with the pin assignments for the expansion header from alterra DE2 board. But, I still cannot get the output from the expansion header. may i know why and how to solve? 

 

thanks!
0 Kudos
0 Replies
Reply