Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

MAX10 DevKit Using the Altera Generic QUAD SPI Controller - Pins stuck at GND

Altera_Forum
Honored Contributor II
1,257 Views

I'm currently trying to make a small module that can read and write custom data to the Flash on the MAX10 Devkit. This fits into a bigger system, and the current state machine is just a simple test.

 

Now, I'm connecting the QSPI_IO, QSPI_CLK and QSPI_CSn to the approriate pins.

 

However I get a bunch of warnings from the compile process.

Code:

Warning (13039): The following bidirectional pins have no drivers

Warning (13040): bidirectional pin "QSPI_IO" has no driver

Warning (13040): bidirectional pin "QSPI_IO" has no driver

Warning (13040): bidirectional pin "QSPI_IO" has no driver

Warning (13040): bidirectional pin "QSPI_IO" has no driver

Code:

Warning (13024): Output pins are stuck at VCC or GND

Warning (13410): Pin "QSPI_CLK" is stuck at GND

Warning (13410): Pin "QSPI_CSn" is stuck at GND

 

Code:

Warning (14632): Output pin "pre_syn.bp.inst10_QSPI_IO_3_" driven by bidirectional pin "QSPI_IO[3]" cannot be tri-stated

Warning (14632): Output pin "pre_syn.bp.inst10_QUAD_SPI_generic_quad_spi_controller_0_flash_dataout_conduit_dataout_3_" driven by bidirectional pin "QSPI_IO[3]" cannot be tri-stated

Warning (14632): Output pin "pre_syn.bp.inst10_QSPI_IO_2_" driven by bidirectional pin "QSPI_IO[2]" cannot be tri-stated

Warning (14632): Output pin "pre_syn.bp.inst10_QUAD_SPI_generic_quad_spi_controller_0_flash_dataout_conduit_dataout_2_" driven by bidirectional pin "QSPI_IO[2]" cannot be tri-stated

Warning (14632): Output pin "pre_syn.bp.inst10_QSPI_IO_1_" driven by bidirectional pin "QSPI_IO[1]" cannot be tri-stated

Warning (14632): Output pin "pre_syn.bp.inst10_QUAD_SPI_generic_quad_spi_controller_0_flash_dataout_conduit_dataout_1_" driven by bidirectional pin "QSPI_IO[1]" cannot be tri-stated

Warning (14632): Output pin "pre_syn.bp.inst10_QSPI_IO_0_" driven by bidirectional pin "QSPI_IO[0]" cannot be tri-stated

Warning (14632): Output pin "pre_syn.bp.inst10_QUAD_SPI_generic_quad_spi_controller_0_flash_dataout_conduit_dataout_0_" driven by bidirectional pin "QSPI_IO[0]" cannot be tri-stated

 

So what ends up happening is that my state machine runs, and tries to request a read at memory address 240. The state machine sets up the process for reading from memory on the avalon bus, but setting the address and read, while waiting for the readdatavalid that obviously never arrives as the QSPI signals are stuck on GND. Is there anything wrong in my instantiation that I cannot find?

I'm using the component info from the QUAD_SPI_n25q_512_inst.vhd file generated by Qsys.

 

 

I've had to remove the state machine code for length, but I'm trying instantiate the module as attached

 

0 Kudos
0 Replies
Reply