Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

May i know what is the exactly clock frequency for cyclone iv EP4CE22

MZakw1
Beginner
370 Views
 
0 Kudos
2 Replies
ak6dn
Valued Contributor III
236 Views

Exactly which 'clock frequency' are you referring to? There are a number of data sheet parameters that refer to min/max clock frequencies.

For example, 'clock tree' performance range maximum is anywhere from 350MHz to 500MHz, depending on speed grade of the device.

You have to ask a lot more specific questions.

0 Kudos
ChiaLing_T_Intel
Employee
236 Views

Hi, I would like to clarify if you are looking for the PLL input clock frequency of Cyclone IV devices. You can refer to the Cyclone IV device datasheet, Table 1.25:

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/cyclone-iv/cyiv-53001.pdf

 

Thank you

 

Regards,

Chia Ling

0 Kudos
Reply