Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

OCT usage in Cyclone V

Lilian_61
New Contributor I
806 Views

Hi,

I am using Cyclone V FPGA (5CGXFC5C7), and I want to use series 50ohm with calibration for signals.

In the design, the signals that needs the OCT function are in Bank 3B & 4A. The PIN_AE11 is used as the RZQ pad. They share the same VCCIO.

#1. I added the assignment in Editor.

Lilian_61_0-1660031058390.png

#2. Should the ALTOCT be used at the same time?

#3. If the #2 is YES, I also tried to use ALTOCT, I instantiated a ALTOCT IP.

Lilian_61_1-1660031450805.png

And I want to ask some question about this IP usage.

How could I connect the rzqin to pad AE11?I couldn't find the usage in UG-01003.

And if I only use the series termination function,just connect the seriesterminationcontrol to the signals that need OCT function directly?

How to deal with the parallelterminationcontrol signal?

 

 Looking forward to your reply.

Thanks!

0 Kudos
8 Replies
AqidAyman_Intel
Employee
783 Views

Hello,


Thank you for reaching out to Intel FPGA Community.


Can you confirm, which package are you using?


Regards,

Aqid Ayman


0 Kudos
Lilian_61
New Contributor I
777 Views

Hi Aqid,

 

Thanks for your helping.

The package used is FG672.

 

Regards,

Lilian

0 Kudos
AqidAyman_Intel
Employee
767 Views

Hi,


Thank you for reaching out to Intel FPGA Community.


Could you provide which Quartus version you are using?


Regards,

Aqid Ayman


0 Kudos
Lilian_61
New Contributor I
765 Views

Hi,

The Quartus version used is v18.1.0.625.

 

Regards,

Lilian

0 Kudos
AqidAyman_Intel
Employee
757 Views

Hi,


As per my understanding, to use the OCT function, the RZQ pins are connected to GND through an

external 100- or 240- reference resistor depending on the desired OCT impedance.


As for your question #2, you can just add the Weak Pull-Up Resistor column in the Editor table by customizing the table. And then you can just toggle the option to "On" for the pin that you desired to use the OCT function.


Regards,

Aqid Ayman


0 Kudos
Lilian_61
New Contributor I
743 Views

Hi,

 

The RZQ pin has connected to GND through an external 100ohm reference resistor on board.

From your reply, my understanding is the ALTOCT is not needed, and just do setting in Assignment Editor is ok.

And in Assignment Editor,I opened the OCT function for the pins like below.

Lilian_61_0-1660271984815.png

But when I test on board, I found the signals gone on pins.

What I mean is, the pins work before I added the OCT function, but when I do the above setting, the pins doesn't work at all. Looks the setting disabled the pins function.

Could you help more on this? Not sure that my setting in Editor is correct.

 

Regards,

Lilian

 

0 Kudos
Mklusal
Beginner
312 Views

Hi Lilian.

Did you found a solution?

I am facing the same issue.

Milos

0 Kudos
AqidAyman_Intel
Employee
724 Views

Hi Lilian,


Can you share your design so that we can replicate and see the issue from our side?


You can decide to share it privately through private message or email.


Regards,

Aqid Ayman


0 Kudos
Reply